aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem03/ram01.vhdl
blob: 195e27a1d7365c283b1f3c5a144d80552df1cb9d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ram01 is
  port (raddr : std_logic_vector (3 downto 0);
        rdat : out std_logic_vector (7 downto 0);
        waddr : std_logic_vector (3 downto 0);
        wdat : std_logic_vector (7 downto 0);
        wen : std_logic;
        clk : std_logic);
end ram01;

architecture behav of ram01 is
  type memdat is record
    d1 : std_logic_vector(7 downto 0);
  end record;

  type memtype is array(0 to 15) of memdat;
begin
  process (clk)
    variable mem : memtype;
  begin
    if rising_edge (clk) then
      if wen = '1' then
        mem (to_integer(unsigned (waddr))).d1 := wdat;
      end if;

      --  The read port is synchronous
      rdat <= mem (to_integer(unsigned (raddr))).d1;
    end if;
  end process;
end behav;