aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem02/tb_dpram7.vhdl
blob: dc32803f45c364088aef05bf750483be9ff9cafd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
entity tb_dpram7 is
end;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dpram7 is
  signal raddr : std_logic_vector(3 downto 0);
  signal rdat : std_logic_vector(7 downto 0);
  signal waddr1 : std_logic_vector(3 downto 0);
  signal wdat1 : std_logic_vector(7 downto 0);
  signal waddr2 : std_logic_vector(3 downto 0);
  signal wdat2 : std_logic_vector(7 downto 0);
  signal rclk, wclk : std_logic;
begin
  dut: entity work.dpram7
    port map (raddr => raddr, rdat => rdat,
              waddr1 => waddr1, wdat1 => wdat1,
              waddr2 => waddr2, wdat2 => wdat2,
              rclk => rclk, wclk => wclk);

  process
    procedure rpulse is
    begin
      rclk <= '0';
      wait for 1 ns;
      rclk <= '1';
      wait for 1 ns;
    end rpulse;

    procedure wpulse is
    begin
      wclk <= '0';
      wait for 1 ns;
      wclk <= '1';
      wait for 1 ns;
    end wpulse;
  begin
    raddr <= "0000";
    waddr1 <= "0001";
    waddr2 <= "0010";
    wdat1 <= x"01";
    wdat2 <= x"02";
    wpulse;

    raddr <= "0001";
    rpulse;
    assert rdat = x"01" severity failure;

    raddr <= "0010";
    rpulse;
    assert rdat = x"02" severity failure;

    waddr1 <= "0011";
    wdat1 <= x"03";
    raddr <= "0001";
    wpulse;
    assert rdat = x"02" severity failure;

    raddr <= "0011";
    rpulse;
    assert rdat = x"03" severity failure;

    wait;
  end process;
end behav;