aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem02/dpram5.vhdl
blob: 32d0be04d686688b467c8d0c4d2f83c0b1d2aabc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity dpram5 is
  port (raddr : std_logic_vector (3 downto 0);
        rdat : out std_logic_vector (7 downto 0);
        waddr : std_logic_vector (3 downto 0);
        wdat : std_logic_vector (7 downto 0);
        rclk : std_logic;
        wclk : std_logic);
end;

architecture behav of dpram5 is
  subtype memtype is std_logic_vector (16 * 8 - 1 downto 0);
begin
  process (rclk, wclk)
    variable ra : natural;
    variable wa : natural;
    variable mem : memtype;
  begin
    if rising_edge(wclk) then
      wa := to_integer(unsigned (waddr));
      mem (wa * 8 + 7 downto wa * 8) := wdat;
    end if;
    if rising_edge (rclk) then
      ra := to_integer(unsigned (raddr));
      rdat <= mem (ra * 8 + 7 downto ra * 8);
    end if;
  end process;
end behav;