aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem01/tb_dpram2.vhdl
blob: f6636334572dc15fac9057a395f2c72c8b940721 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
entity tb_dpram2 is
end tb_dpram2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dpram2 is
  signal raddr : std_logic_vector(3 downto 0);
  signal rdat : std_logic_vector(7 downto 0);
  signal waddr : std_logic_vector(3 downto 0);
  signal wdat : std_logic_vector(7 downto 0);
  signal clk : std_logic;
begin
  dut: entity work.dpram2
    port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
              clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    raddr <= "0000";
    waddr <= x"a";
    wdat <= x"5a";
    pulse;

    raddr <= x"a";
    waddr <= x"7";
    wdat <= x"87";
    pulse;
    assert rdat = x"5a" severity failure;

    wait;
  end process;
end behav;