aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem01/tb_dpram1.vhdl
blob: f7644fbfe820725990d85459a295ab0227e9a024 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
entity tb_dpram1 is
end tb_dpram1;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dpram1 is
  signal raddr : std_logic_vector(3 downto 0);
  signal rdat : std_logic_vector(7 downto 0);
  signal waddr : std_logic_vector(3 downto 0);
  signal wdat : std_logic_vector(7 downto 0);
  signal clk : std_logic;
begin
  dut: entity work.dpram1
    port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
              clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    raddr <= "0000";
    waddr <= "0001";
    wdat <= x"01";
    pulse;

    raddr <= "0001";
    waddr <= "0010";
    wdat <= x"02";
    pulse;
    assert rdat = x"01" severity failure;

    wait;
  end process;
end behav;