aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem01/sram05.vhdl
blob: a634e0b192c0f867ed158231a70f231bdb75d497 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity sram05 is
  port (
    rst : std_logic;
    clk_i  : std_logic;
    addr_i : std_logic_vector(3 downto 0);
    data_i : std_logic_vector(7 downto 0);
    data_o : out std_logic_vector(7 downto 0);
    wen_i   : std_logic);
end sram05;

architecture behav of sram05 is
begin
  process (clk_i, addr_i)
    type mem_type is array (0 to 15) of std_logic_vector (7 downto 0);
    variable mem : mem_type;
    variable addr : natural range mem_type'range;
  begin
    if rising_edge(clk_i) then
      if rst = '1' then
        null;
      else
        addr := to_integer (unsigned (addr_i));
        if wen_i = '1' then
          mem (addr) := data_i;
        end if;
        data_o <= mem (addr);
      end if;
    end if;
  end process;
end behav;