aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem01/sram03.vhdl
blob: 01dfbd7d0410b0441061f4f86a4dfc6a06f62499 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity sram03 is
  port (
    clk_i  : std_logic;
    addr_i : std_logic_vector(3 downto 0);
    data_i : std_logic_vector(7 downto 0);
    data_o : out std_logic_vector(7 downto 0);
    wen_i   : std_logic);
end sram03;

architecture behav of sram03 is
  type mem_type is array (0 to 15) of std_logic_vector (7 downto 0);
  signal mem : mem_type;
begin
  process (clk_i, addr_i)
    variable addr : natural range mem_type'range;
  begin
    if rising_edge(clk_i) then
      addr := to_integer (unsigned (addr_i));
      if wen_i = '1' then
        mem (addr) <= data_i;
      end if;
      data_o <= mem (addr);
    end if;
  end process;
end behav;