aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem01/sram01.vhdl
blob: b4bfd0d2ebe5de053e14b5279385e78422f9a079 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity sram01 is
  port (
    clk_i  : std_logic;
    addr_i : std_logic_vector(3 downto 0);
    data_i : std_logic_vector(7 downto 0);
    data_o : out std_logic_vector(7 downto 0);
    wen_i   : std_logic);
end sram01;

architecture behav of sram01 is
begin
  process (clk_i, addr_i)
    type mem_type is array (0 to 15) of std_logic_vector (7 downto 0);
    variable mem : mem_type;
    variable addr : natural range mem_type'range;
  begin
    if rising_edge(clk_i) then
      addr := to_integer (unsigned (addr_i));
      data_o <= mem (addr);
      if wen_i = '1' then
        mem (addr) := data_i;
      end if;
    end if;
  end process;
end behav;