aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/match01/match01.vhdl
blob: 8deb927524c904c84ffa59d2b170a852b0c0bb73 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity match01 is
  port (a : in std_logic_vector (3 downto 0);
        z : out std_logic);
end match01;

architecture behav of match01 is
begin
  z <= a ?= "1--0";
end behav;