aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/lib01/and3.vhdl
blob: baf808e274aa558bff486b0f265aaedcf0acdff3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;
library mylib;

entity and3 is
  port (i0, i1, i2 : std_logic;
        o : out std_logic);
end and3;

architecture behav of and3 is
  signal t1 : std_logic;
begin
  a1: entity mylib.and2
    port map (i0, i1, t1);
  a2: entity mylib.and2
    port map (t1, i2, o);
end behav;