aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/lib01/and2.vhdl
blob: e2d18ed0234ddf4058a5261bd934f43b9f76fd62 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity and2 is
  port (a, b : std_logic;
        o : out std_logic);
end and2;

architecture behav of and2 is
begin
  o <= a and b;
end behav;