aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue973/tb_ent.vhdl
blob: 328d48180804cf6381ad570e32457611156525b8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal i : std_logic_vector (7 downto 0);
  signal o : std_logic_vector (3 downto 0);
begin
  dut: entity work.ent
    port map (i, o);

  process
  begin
    i <= x"b6";
    wait for 1 ns;
    assert o = x"b" severity failure;

    wait;
  end process;
end behav;