aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue972/test2.vhdl
blob: 11192fafdcad0f3d6f66c2333ba741d7b938bb0f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test2 is
    port(
        d_in: in std_ulogic_vector(63 downto 0);
        d_out: out std_ulogic_vector(63 downto 0)
        );
end entity test2;

architecture behaviour of test2 is
begin
    comb : process(all)
    begin
        d_out <= std_logic_vector(unsigned(d_in) + 4);
    end process;
end architecture behaviour;