aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue964/tb_ent.vhdl
blob: 76cbf8b31e9b10cf166fb8c8d1c1fa384931d9cb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal clk : std_logic;
  signal dout : std_logic;
  signal enable : std_logic;
  signal reset : std_logic;
begin
  dut: entity work.ent
    port map (
      enable => enable,
      reset => reset,
      q => dout,
      clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    enable <= '1';
    reset <= '1';
    pulse;
    assert dout = '0' severity failure;

    enable <= '1';
    reset <= '0';
    pulse;
    assert dout = '1' severity failure;

    pulse;
    assert dout = '0' severity failure;

    pulse;
    assert dout = '1' severity failure;

    enable <= '0';
    pulse;
    assert dout = '1' severity failure;

    enable <= '1';
    pulse;
    assert dout = '0' severity failure;

    wait;
  end process;
end behav;