aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue964/ent.vhdl
blob: abed11a80f210b4b4bffd03d37a748cee1c7028e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        clk : in std_logic;
        reset : in std_logic;
        enable : in std_logic;
        q : out std_logic
    );
end;

architecture a of ent is
    signal s : std_logic;
begin
    process(clk, reset)
    begin
        if reset = '1' then
            s <= '0';
        elsif enable /= '1' then
            -- [nothing]
        elsif rising_edge(clk) then
            s <= not s;
        end if;
    end process;

    q <= s;
end;