aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue963/ent2.vhdl
blob: 7067debe6755f8ffa315ef9a6ab80420a96f9555 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent2 is
    port (
        clk : in std_logic;
        set_7 : in std_logic;
        set_f : in std_logic;
        set_a : in std_logic;
        set_0 : in std_logic;
        q : out std_logic_vector(3 downto 0)
    );
end;

architecture a of ent2 is
    signal s : unsigned(3 downto 0);
begin
    process(clk, set_0, set_a, set_f, set_7)
    begin
        if set_0 = '1' then
            s <= x"0";
        elsif set_a = '1' then
            s <= x"a";
        elsif set_f = '1' then
            s <= x"f";
        elsif set_7 = '1' then
            s <= x"7";
        elsif rising_edge(clk) then
            s <= s + 1;
        end if;
    end process;
    q <= std_logic_vector(s);
end;