aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue963/ent.vhdl
blob: 2481e43a971e32125f9d3f3e6ba5078fd712e9fe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        clk : in std_logic;
        set : in std_logic;
        reset : in std_logic;
        q : out std_logic
    );
end;

architecture a of ent is
    signal s : std_logic;
begin
    process(clk, set, reset)
    begin
        if set = '1' then
            s <= '1';
        elsif reset = '1' then
            s <= '0';
        elsif rising_edge(clk) then
            s <= not s;
        end if;
    end process;
    q <= s;
end;