aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue960/tb_ent2.vhdl
blob: 77ba52a31610ea35c543db80ec16e17edd36073e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
entity tb_ent2 is
end tb_ent2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent2 is
  signal clk : std_logic;
  signal dout : std_logic;
begin
  dut: entity work.ent2
    port map (
      o => dout,
      clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    wait for 1 ns;
    assert dout = '0' severity failure;

    pulse;
    assert dout = '1' severity failure;

    pulse;
    assert dout = '0' severity failure;

    pulse;
    assert dout = '1' severity failure;

    wait;
  end process;
end behav;