aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue960/ent3.vhdl
blob: 8db4537ea7ab8b05c9c341e8086b7ba5202cb2ea (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
      clk : in std_logic;
      o : out std_logic
    );
end;

architecture a of ent is
  function inv(s : std_logic) return std_logic is
  begin
    return not s;
  end inv;

    signal test : std_logic;
begin
    process(clk)
    begin
      if rising_edge(clk) then
        test <= inv(test);
      end if;
    end process;
    o <= test;
end;