aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue960/ent.vhdl
blob: 41873645dc111a6c85ee2048f537d0d534853f37 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        clk : in std_logic
    );
end;

architecture a of ent is
    procedure inv(signal s : inout std_logic) is
    begin
        s <= not s;
    end procedure;

    signal test : std_logic;
begin
    process(clk)
    begin
        if rising_edge(clk) then
            inv(test);
        end if;
    end process;
end;