aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue954/ent.vhdl
blob: 333dfc568c285417f879e4a2cb5632a6b1c21b86 (plain)
1
2
3
4
5
6
7
8
9
10
entity ent is
    port (
        i : in bit
    );
end ent;

architecture a of ent is
begin
end;