aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue951/tb_ent.vhdl
blob: a6a752054a1619feed361dfb8a67b7bf62b8dc73 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal clk : std_logic;
  signal en : std_logic;
  signal din : std_logic;
  signal dout : std_logic;
begin
  dut: entity work.ent
    port map (clk => clk, enable => en, i => din, o => dout);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    din <= '0';
    en <= '1';
    pulse;
    assert dout = '0' severity failure;

    din <= '1';
    en <= '1';
    pulse;
    assert dout = '1' severity failure;

    din <= '0';
    en <= '0';
    pulse;
    assert dout = '1' severity failure;
    wait;

    din <= '0';
    en <= '1';
    pulse;
    assert dout = '0' severity failure;
    wait;

  end process;
end behav;