aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue948/ent.vhdl
blob: a2f7aadb942fbf3f740bcf8126a7687eb4449339 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        i : in bit;
        o : out bit
    );
end;

architecture a of ent is
    signal test : std_logic_vector(7 downto 0);
    alias a : std_logic_vector(7 downto 0) is test;
begin
    process(i)
    begin
        if a = x"00" then
        end if;

        o <= i;
    end process;
end;