aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue947/ent.vhdl
blob: e32c700b553aedbfec0d955c2ff8a87c8fe95346 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        i : in bit;
        o : out bit
    );
end;

architecture a of ent is
    signal test : std_logic_vector(7 downto 0);
begin
    process(i)
    begin
        if test = "0" then
        end if;

        o <= i;
    end process;
end;