aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue941/ent.vhdl
blob: f2cb8b642b792ef3b988d70bb4450dfbca75e351 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        i : in std_logic_vector(7 downto 0);
        o : out std_logic_vector(7 downto 0)
    );
end entity;

architecture a of ent is
begin
    o <= i(i'high downto i'low);
end;