aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue940/ent.vhdl
blob: b4ecc25e5cacbf209140be1a0b7dc683f4e45861 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity ent is
    port (
        i : in bit_vector(3 downto 0);
        o : out bit_vector(3 downto 0)
    );
end entity;

architecture a of ent is
begin
    o <= i;
end;