aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue937/ent.vhdl
blob: e96f192cef8e0e331639a53687fc85d9503242b3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity ent is
    port (
        i : in bit;
        o : out bit
    );
end entity;

architecture a of ent is
    signal x : boolean;
begin
    process(i)
    begin
        if not x then
            o <= i;
        else
            o <= '0';
        end if;
    end process;
end;