aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue882/cpu.vhdl
blob: 28229eeb48eb4b594d5c2cdd52a624736565080b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity cpu is
  port (
    test : out std_logic_vector(7 downto 0)
  );
end cpu;

architecture rtl of cpu is
begin
  test <= "00000000";
end rtl;