aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_stable.vhdl
blob: 675cdbd560214e9c3928a39ad5d5c3153c76113d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity psl_stable is
  port (clk, a, b, c : in std_logic;
        d         : in std_logic_vector(3 downto 0)
  );
end entity psl_stable;


architecture psl of psl_stable is
begin

  -- All is sensitive to rising edge of clk
  default clock is rising_edge(clk);

  -- This assertion holds
  STABLE_0_a : assert always {not a; a} |=> (stable(c) until_ b);

  -- This assertion holds
  STABLE_1_a : assert always {not a; a} |=> (stable(d) until_ b);

end architecture psl;