aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_prev.vhdl
blob: c9ddac35bed6503ec5ae605adde94db99cc842fe (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity psl_prev is
  port (clk, a, b : std_logic);
end entity psl_prev;


architecture psl of psl_prev is
begin
  -- All is sensitive to rising edge of clk
  default clock is rising_edge(clk);

  -- This assertion should fail
  stable_1 : assert always (a -> prev(b) = b);
end architecture psl;