aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_onehot0.vhdl
blob: 8c9b2e8055e9cf45296506783bb7583b2c5bf2dd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity psl_onehot0 is
  port (clk  : in std_logic;
        a, b : in std_logic_vector(3 downto 0)
  );
end entity psl_onehot0;


architecture psl of psl_onehot0 is
begin

  -- All is sensitive to rising edge of clk
  default clock is rising_edge(clk);

  -- This assertion holds
  ONEHOT0_0_a : assert always onehot0(a);

  -- This assertion fails at cycle 15
  ONEHOT0_1_a : assert always onehot0(b);

end architecture psl;