aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue662/psl_fell_err1.vhdl
blob: 6ef80a0a501cc08e8fcac4f3d7c51f01c03438ed (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity psl_fell1 is
  port (clk, a, b : in std_logic
  );
end entity psl_fell1;


architecture psl of psl_fell1 is
begin

  -- This assertion holds
  FELL_0_a : assert always {a; not a} |-> fell(a);

end architecture psl;