aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue412/my_fifo.vhdl
blob: c06f1a776f90ed3889898250639a66279dfef1fa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
library ieee;
use ieee.std_logic_1164.all;

entity my_FIFO is
  port (
    clk       : in    std_ulogic;
    rst       : in    std_ulogic;
    data_in   : in    std_logic_vector(7 downto 0);
    valid_in  : in    std_ulogic;
    ready_out : out   std_ulogic;
    data_out  : out   std_logic_vector(7 downto 0);
    valid_out : out   std_ulogic;
    ready_in  : in    std_ulogic);
end entity my_FIFO;

architecture behav of my_fifo is
begin
  inst: entity work.generic_sfifo
    generic map (
      t => std_logic_vector (7 downto 0),
      min_depth => 8)
    port map (
      clk => clk,
      rst => rst,
      data_in => data_in,
      valid_in => valid_in,
      ready_out => ready_out,
      data_out => data_out,
      valid_out => valid_out,
      ready_in => ready_in);
end behav;