aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2327/test_and.vhdl
blob: e6bd174496fd535743ded04b204180f8a89940cc (plain)
1
2
3
4
5
6
7
8
9
10
11
entity test is
    port(
        a: in bit_vector(7 downto 0);
        b: in bit;
        c: out bit_vector(7 downto 0));
end test;

architecture behavior of test is
begin
    c <= a and b;
end behavior;