aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2286/test_addsub.vhdl
blob: 00e6aed213b3c744afa89f9d6683f26663c362da (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std_unsigned.all;

entity test_addsub is
  port (
    slv : in  std_logic_vector(3 downto 0);
    nat : in  natural range 0 to 15;
    add_slvslv : out std_logic_vector(3 downto 0);
    add_slvnat : out std_logic_vector(3 downto 0);
    add_natslv : out std_logic_vector(3 downto 0);
    sub_slvslv : out std_logic_vector(3 downto 0);
    sub_slvnat : out std_logic_vector(3 downto 0);
    sub_natslv : out std_logic_vector(3 downto 0)
  );
end;

architecture rtl of test_addsub is
begin
  add_slvslv <= slv + slv;
  add_slvnat <= slv + nat;
  add_natslv <= nat + slv;
  sub_slvslv <= slv - slv;
  sub_slvnat <= slv - nat;
  sub_natslv <= nat - slv;
end;