aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2279/ent.vhdl
blob: 6c33c60d3e9b94f2751f515211cce6e39c3b8c6c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ent is
    generic(
        DATA_WIDTH : integer := 8
    );
end;

architecture arch of ent is
    signal a : std_logic_vector(DATA_WIDTH-1 downto 0);
    signal b : std_logic;
    signal c : std_logic_vector(DATA_WIDTH+1-1 downto 0);
begin
    (a, b) <= c;
end;