aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2279/aggr1.vhdl
blob: 13a224d5579b2fabcc8c2255feaade817bc0584a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity aggr1 is
    generic(
        DATA_WIDTH : integer := 8
        );
    port (a : out std_logic_vector(DATA_WIDTH-1 downto 0);
          b : out std_logic;
          c : std_logic_vector(DATA_WIDTH+1-1 downto 0));
end;

architecture arch of aggr1 is
begin
    (a, b) <= c;
end;