blob: 14d96cc3f747307c5b4ad3a724f09851e63ac895 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;
entity test is
port (
clk : in std_logic;
d : in std_logic_vector(2 downto 0);
q : out std_logic
);
end entity;
architecture rtl of test is
begin
q <= and_reduce(d);
end architecture;
|