aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2169/tb_mul.vhdl
blob: 999d1a05fd5b1d306ec103df3a7a51c02a55acfc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity tb_mul is
end entity;

architecture tb of tb_mul is
	signal a : unsigned( 7 downto 0);
	signal b : unsigned(15 downto 0);
	signal r : unsigned(23 downto 0);
begin
	
	u0 : entity work.mul
	port map(
		a => a,
		b => b,
		r => r
	);
	
	process
	begin
		a <= to_unsigned(243,8);
		b <= to_unsigned(34560,16);
		wait for 1 ns;
		report integer'image(to_integer(r));
		assert r = to_unsigned(8398080, 24);
		wait;
	end process;

end architecture;