aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2169/mul.vhdl
blob: 6a32b7692d13907d2853080e86d728ef12c7b3e5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity mul is
	port(
		a :  in unsigned( 7 downto 0);
		b :  in unsigned(15 downto 0);
		r : out unsigned(23 downto 0)
	);
end entity;

architecture rtl of mul is

begin
	r <= resize(a*b, 24);
end architecture;