aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2145/bug.vhdl
blob: 749ab78c557db14dcae58baf4449170bc4301ef9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
library IEEE;
use IEEE.std_logic_1164.all;

entity sub is
	generic(
		WIDTH : positive := 32
	);
	port (
		data : out std_ulogic_vector(WIDTH-1 downto 0)
	);
end sub;

library IEEE;
use IEEE.std_logic_1164.all;

entity bug is
	generic(
		WIDTH : positive := 32
	);
	port (
		data : out std_ulogic_vector(WIDTH-1 downto 0)
	);
end bug;

architecture struct of sub is
begin
	data <= (others => '0');
end architecture;

architecture struct of bug is
begin
	base: entity work.sub
	generic map(
		WIDTH => WIDTH
	)
	port map(
		data => data
	);
end architecture;