aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2144/bug.vhdl
blob: fbb4e3fd9e1e56c4b6ca861eb74462d541092c14 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
library IEEE;
use IEEE.std_logic_1164.all;

entity bug is
	port (
		dummy : in std_ulogic
	);
end bug;

architecture struct of bug is
	type table_t is array (natural range<>, natural range<>) of std_ulogic;

	function fun return table_t is
		variable ret : table_t(0 to 1, 0 to 3);
	begin
		return ret;
	end function;

	constant table : table_t := fun;
	
begin
	gen_i : for i in table'range(1) generate
		gen_j : for j in table'range(2) generate
			b : block is
				function print return std_ulogic is
				begin
					report "index="& integer'image(i) & "," & integer'image(j) & "; " &
					       "length="& integer'image(table'length(1)) & "," & integer'image(table'length(2));
					return '0';
				end function;

				constant tmp : std_ulogic := print;
				constant entry : std_ulogic := table(i, j);
			begin

			end block;
		end generate;
	end generate;
end architecture;