aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2143/bug2.vhdl
blob: cf800ed4e6f8d10729da5f0b966fa8590ed3f075 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library IEEE;
use IEEE.std_logic_1164.all;

entity bug2 is
	port (
		dummy : in std_ulogic
	);
end bug2;

architecture struct of bug2 is
	type table_t is array (natural range<>, natural range<>) of std_ulogic;

	function fun return table_t is
		variable ret : table_t(0 to 7, 0 to 7);
	begin
		return ret;
	end function;

	constant table : table_t := fun;
	constant entry : std_ulogic := table(0, 0);
begin

end architecture;