aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2143/bug.vhdl
blob: 19fdc2e35fc2df377c96aa9dc42989a23e6fcefb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library IEEE;
use IEEE.std_logic_1164.all;

entity bug is
	port (
		dummy : in std_ulogic
	);
end bug;

architecture struct of bug is
	type entry_t is record
		a : std_ulogic;
	end record;

	type table_t is array (natural range<>, natural range<>) of entry_t;

	function fun return table_t is
		variable ret : table_t(0 to 7, 0 to 7);
	begin
		return ret;
	end function;

	constant table : table_t := fun;
	constant entry : entry_t := table(0, 0);
begin

end architecture;