aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2139/repro.vhdl
blob: e38f2562de3dc58587129892446b64ac6658d068 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro is
  port (o : out unsigned (7 downto 0);
        i : in std_logic_vector(7 downto 0));
end repro;

architecture behav of repro is
begin
  o <= unsigned(i) + 1;
end behav;