aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2129/isx.vhdl
blob: e72f2a8a9888f2ba8b72ef48c52117d1568f331e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity isx is
    port (
        s : in std_ulogic_vector(1 downto 0);
        u : in signed(1 downto 0)
	);
end entity isx;

architecture rtl of isx is
begin
    test1 : process(all)
    begin
	if is_X(s) then
	    report "std_ulogic test" severity FAILURE;
	end if;
	if is_X(u) then
	    report "unsigned test" severity FAILURE;
	end if;
    end process;
end;