aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2124/a2.vhdl
blob: eb75b51b4b247c62036f96078e2db9de088ae1ba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

entity a2 is
end entity;

architecture behaviour of a2 is

  component b
    port (
      c : in  std_logic;
      q : out std_logic
      );
  end component;

  for inst : b use entity work.b;
begin

  inst : b
    port map (
      c => '0',
      q => open
      );
  
end architecture;