aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2124/a.vhdl
blob: ad35b843a989aeb9cfdd0f98d048167a9a930dd8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity a is
end entity;

architecture behaviour of a is

  component b
    port (
      c : in  std_logic;
      q : out std_logic
      );
  end component;
  
begin

  inst : b
    port map (
      c => '0',
      q => open
      );
  
end architecture;