aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2123/bug.vhdl
blob: d20de92141d935ed5bd976d47a15c321328c186f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
	port (
		a : in unsigned(8-1 downto 0);
		b : in unsigned(8-1 downto 0);
		d : out signed(8 downto 0)
	);
end bug;

architecture behav of bug is
begin
	d <= (abs(signed('0' & a) - signed('0' & b)));
end behav;