aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2109/bug.vhdl
blob: c514c6f99e77bbb5c1c348f072ee0f70c21f33e5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity bug is
generic(
    tmp : std_ulogic_vector(0 downto 1) := ""
);
port(
    val : out std_ulogic_vector(0 downto 1)
);
end entity;

architecture rtl of bug is
begin
   val <= tmp;
end architecture;